#include "systemc.h" SC_MODULE(process_example) { SC_CTOR(process_example){ SC_THREAD(Thread1); SC_THREAD(Thread2); SC_METHOD(Method1); //dont_initialize(); } void Thread1(void) { cout<<"Thread 1 executat in instanta "<