#include "systemc.h" SC_MODULE (hello_world) { SC_CTOR (hello_world) { } void write_hello() { cout << "Hello, World!\n"; } }; int sc_main(int argc, char* argv[]) { //Instantiate module hello_world hello("Hello"); //Write “Hello, World!” hello.write_hello(); return(0); }